Tôi muốn có một mô-đun đơn giản để thêm hai std_logic_vectors. Tuy nhiên, khi sử dụng mã bên dưới với toán tử + nó không tổng hợp.Lỗi khi thêm std_logic_vectors
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity add_module is
port(
pr_in1 : in std_logic_vector(31 downto 0);
pr_in2 : in std_logic_vector(31 downto 0);
pr_out : out std_logic_vector(31 downto 0)
);
end add_module;
architecture Behavior of add_module is
begin
pr_out <= pr_in1 + pr_in2;
end architecture Behavior;
Thông báo lỗi tôi nhận được từ XST
Dòng 17. + không thể có toán hạng như vậy trong bối cảnh này.
Tôi có bỏ lỡ thư viện không? Nếu có thể, tôi không muốn chuyển đổi các đầu vào thành các số tự nhiên.
Rất cám ơn
tôi khuyên bạn nên kiểm tra ra liên kết Martin. – George